MENU

Leti demonstrates 3D sequential integration for truly 3D chips

Leti demonstrates 3D sequential integration for truly 3D chips

Technology News |
By eeNews Europe



CEA-Leti’s 3D monolithic or 3D sequential CMOS technology, CoolCube, allows to vertically stack several layers of devices with a unique connecting-via density above tens of million/mm2. This More Moore technology is said to decrease dice area by a factor of two, while providing a 26 percent gain in power. The wire-length reduction enabled by CoolCube also improves yield and lowers costs. In addition to power savings, this true 3D integration opens diversification perspectives thanks to more integration of functions. From a performance optimization and manufacturing-enablement perspective, processing the top layer in a front end of line (FEOL) environment with a restricted thermal budget requires process modules optimization.

The six breakthroughs highlighted in the paper, “Breakthroughs in 3D Sequential Integration” include the design of low-resistance poly-Si gate for the top field-effect transistors (FETs), full LT RSD (low temperature raised source and drain) epitaxy, including surface preparation, the stable bonding above ultra-low-k (ULK) materials, stability of intermediate back end of line (iBEOL) between tiers with standard ULK/Cu technology, the efficient contamination containment for wafers with Cu/ULK iBEOL, enabling their re-introduction in front end of line (FEOL) for top FET processing, and finally the Smart Cut process above a CMOS wafer.


To obtain high-performance top FETs, low gate access resistance was achieved using UV nano-second laser recrystallization of in-situ doped amorphous silicon. Full 500°C selective silicon-epitaxy process was demonstrated with an advanced LT surface preparation and a combination of dry-and-wet etch preparation.  Epitaxial growth was demonstrated with the cyclic use of a new silicon precursor and dichlorine Cl2 etching. At the same time, the project paved the way to manufacturability of 3D sequential integration including iBEOL with standard ULK and Cu-metal lines.

A bevel-edge contamination containment strategy comprised of three steps (bevel etch, decontamination, encapsulation) enabled reintroducing wafers in an FEOL environment following the BEOL process. In addition, the project also demonstrated for the first time the stability of line-to-line breakdown voltage for interconnections submitted to 500°C. The work also demonstrated a Smart Cut transfer of a crystalline silicon layer on a processed bottom level of FD-SOI CMOS devices, as an alternative to the SOI bonding-and-etch back process scheme for top channel fabrication.

CEA-Leti – www.leti-cea.com

Related articles:

Monolithic 3D integration beats next node

CoolCube circuit stacking moves to FinFET process

If you enjoyed this article, you will like the following ones: don't miss them by subscribing to :    eeNews on Google News

Share:

Linked Articles
10s